欢迎访问【高校论文网】合作只是一个起点、服务没有终点!

论文流程 联系我们常见问题

您当前所在位置:

DSP HPI总线与MPC8272总线接口的FPGA

2017-06-26 21:25:00

HPI(Host-Port Interface)主机接口,是TI高性能DSP上配置的与主机进行通信的片内外设。 下面是编辑老师为大家准备的DSP HPI总线与MPC8272总线接口的FPGA。

通过HPI接口,主机可以非常方便地访问DSP的所有地址空间,从而实现对DSP的控制。

TMS320C6421的HPI接口是一个16bit宽的并行端口。主机(host)对CPU地址空间的访问是通过EDMA控制器实现的。 HPI接口的访问主要通过三个专用寄存器来实现,它们分别是HPI控制寄存器(HPIC)、HPI地址寄存器(HPIA)和HPI数据寄存器(HPID)。

HPI接口信号简介

(1) HD[15∶0](数据总线)

(2) HCNTL[1∶0](控制HPI访问类型)

如前所述,对HPI的访问需要通过三个寄存器,即HPI地址寄存器(HPIA),HPI数据寄存器(HPID)和HPI控制寄存器(HPIC)来实现。HCNTL[1∶0]就是用于选择这三个寄存器的专用引脚。

HCNTL1

HCNTL0

HPI访问类型

相关推荐:

城市规划信息服务业发展的若干对策研究 

通信学论文:全业务运营需循序渐进 

通信设备制造业供应链管理优化研究 

基于无线通信的列车控制技术与互联互通 

通信学论文之全业务支撑系统演进攻略 

广告位招租

咨询QQ:879182359

客服  

高校论文网
在线客服